Electronique > Bases > Les diviseurs de fréquence

Dernière mise à jour : 10/07/2011

Présentation

Un diviseur de fréquence est un système électronique qui permet d'obtenir un signal périodique de fréquence F2, à partir d'un signal périodique de fréquence F1, la fréquence F2 étant toujours inférieure à la fréquence F1. Par exemple, un diviseur de fréquence par 10 permet d'obtenir un signal de fréquence 100 KHz à partir d'un signal de fréquence 1 MHz. Cet article présente quelques schémas électroniques permettant de diviser la fréquence d'un signal périodique rectangulaire par un nombre entier ou par une valeur décimale. Certains schémas font appel à des composants spécifiques, d'autres se contentent de composants classiques. Vous verrez entre autre comment utiliser un potentiomètre pour modifier le facteur de division d'un diviseur.

Diviseur fixe à composants spécifiques

Il existe des diviseurs de fréquence dont le rapport de division est fixe mais parfois programmable, par exemple 2, 4, 8, 10, 64, 256 ou 1024. Ce type de composant, qui se résume en général à un circuit intégré, est par exemple utilisé dans les étages d'entrée de fréquencemètres ou de compteurs, ou dans des circuits d'asservissement de récepteurs ou d'émetteur. Citons par exemple le U666B qui présente un rapport de division fixe de 256, et que j'ai utilisé dans mon émetteur FM 002 pour utilisation avec la boucle à verrouillage de phase (PLL).

diviseur_freq_u666b

D'autres diviseurs plus ou moins anciens existent, en voici quelques références :
Série uPB15xx (NEC) : prédiviseurs 50 MHz à 16 GHz, division par 2, 4, 8, 64, 128 ou 256
SDA2101 (Siemens) = U664B (Telefunken) : prédiviseurs 80 MHz à 850 MHz, division par 64
U813 / U833 : prédiviseurs 1 GHz / 1,3 GHz, division par 64, 128 ou 256 (applications TV, réseau cablé et satellite)
SAB6456 : prédiviseur 1 GHz, division par 64 ou 256
ZL40814 : prédiviseur 10 GHz à 13 GHz, division par 10
UXM15P : prédiviseur DC à 20 GHz, division "binaire" par 2, 4 ou 8, ou division "multimodulus" par 4, 5, 6, 7, 8 ou 9
Certains prédiviseurs peuvent diviser par un nombre différent selon leur programmation (programmation qui peut se résumer à un état logique défini sur une de leurs pattes), et l'on peut mettre à profit cette possibilité pour changer le facteur de division pendant l'opération de division même, ce qui permet d'obtenir des taux de division difficiles à obtenir autrement.

Diviseur fixe à bascule D ou bascule JK

Ce type de diviseur divise toujours par deux, c'est à dire que l'on retrouve sur la sortie Out, un signal dont la fréquence est exactement la moitié de celle du signal appliqué sur l'entrée In. Si le signal d'entrée est à 1 KHz, le signal de sortie est à 500 Hz. Le schéma de gauche est un diviseur par deux réalisé avec une bascule "D" (une moitié d'un CD4013), et le schéma de droite est un diviseur par deux réalisé avec une bascule "JK" (une moitié d'un CD4027).

diviseur_freq_cd4013 diviseur_freq_cd4027

En  mettant plusieurs bascules de ce type en cascade, on peut obtenir un facteur de division de 2 puissance n, n étant le nombre de bascules. Le schéma suivant montre que l'on peut obtenir un facteur de division de 16 (2 puissance 4) avec quatre bascules D. On pourrait bien sûr faire de même avec les bascules JK.

diviseur_freq_cd4013_002

En pratique, et quand le taux de division souhaité est important, on ne s'amuse pas à mettre ainsi en cascade un grand nombre de bascule. Imaginez la place prise sur le circuit imprimé, si l'on souhaitait obtenir un facteur de division de 1024. En pratique, il est bien souvent préférable d'utiliser un circuit intégré spécialisé dans le comptage, qui intègre déjà un grand nombre de bascules, mettant ainsi à disposition une ou plusieurs sorties divisant successivement par 2 (2e1), par 4 (2e2), par 8 (2e3), par 16 (2e4), par 32 (2e5), etc. C'est le cas par exemple des circuits intégrés logiques CMOS CD4020, CD4040 ou CD4060.

Diviseur fixe avec un compteur binaire

Division par un nombre égal à une puissance de deux
Les compteurs binaires à plusieurs étages (plusieurs bascules), tels les circuit CMOS CD4020, CD4040 ou CD4060, permettent d'obtenir un facteur de division de 2 puissance n, n dépendant de la sortie du compteur utilisée. Le schéma qui suit montre trois compteurs différents mais de même famille dont les entrées d'horloges sont reliées entre elles. Cette façon de faire n'est bien entendu pas obligatoire, c'est juste pour montrer sur un seul schéma, que l'on peut employer l'un ou l'autre des compteurs, selon le ou les taux de division souhaités.

diviseur_freq_cd4020_40_60

Notez que certains compteurs ne mettent pas à disposition certaines sorties, sautant par exemple directement de la sortie Q0 (division par 2) à la sortie Q3 (division par 16). Si une des sorties vous manque cruellement pour l'une de vos applications, c'est que le composant n'est pas celui qu'il vous faut, et il faut en sélectionner un autre. Dans certains cas, un seul circuit ne suffit pas, et il faut alors en ajouter un. C'est le cas classique de la base de temps "1 seconde" (1 Hz) que l'on peut obtenir avec un quartz 32,768 KHz monté avec un compteur CD4060, mais dont la sortie de rang le plus élevé du compteur délivre un signal de fréquence 2 Hz, et nécessite donc un diviseur supplémentaire pour obtenir le signal de 1 Hz souhaité (voir page Base de temps 1 Hz).

Division par un nombre autre qu'une puissance de deux
Les schémas qui précèdent permettent de diviser par une puissance de deux, c'est à dire par exemple par 4, par 16 ou encore par 512. Tels quels, ils ne peuvent être utilisés pour effectuer une division par un nombre qui n'est pas une puissance de deux. Assurer une division par un nombre tel que 3 ou 35, demande des efforts supplémentaires. En réalité, et comme bien souvent en électronique, il existe des solutions simples par le principe, mais parfois "compliquées" par le nombre de composants demandés. Le schéma qui suit montre une façon de faire pour diviser un signal de fréquence F1, par un nombre quelconque compris entre 1 et 255. Le schéma demande juste que l'on sache "lire" un nombre binaire. Mais avec quelques exemples, ça devrait aller.

diviseur_freq_002

Le principe n'est pas compliqué, puisqu'il s'agit de remettre le compeur U1 à zéro à chaque fois qu'il atteint le nombre qui correspond au taux de division souhaité. Si par exemple nous voulons une division par un facteur 3, nous laissons le compteur compter jusqu'à 3, et arrivé à ce nombre on le remet à zéro pour qu'il reparte... à zéro. Nous avons donc une impulsion de remise à zéro pour trois impulsions appliquées à l'entrée du compteur. Pour savoir quand le compteur en est arrivé au nombre 3, on analyse toutes ses sorties et on ne fait rien tant que la combinaison des sorties actives ne correspond pas au nombre 3. En binaire, un nombre décimal est obtenu par addition de plusieurs nombres d'une puissance de deux. 2 puissance 2 (4) additionné à 2 puissance 4 (16) donne le nombre décimal 20 (4 + 16). Dit à l'envers : pour obtenir le nombre décimal 20, on doit additionner 2 puissance 2 (4) avec 2 puissance 4 (16). Pour obtenir un taux de division de 5, il nous faut procéder selon le même principe : pour obtenir le nombre décimal 5, on doit additionner 2 puissance 2 (4) avec 2 puissance 0 (1). Si vous regardez de près le schéma précédent, vous pouvez voir que les sorties Q0 et Q2 du compteur U1 "passent" à travers les microswitches (DSW1), alors que toutes les autres sorties sont "bloquées", ou plutôt non surveillées. De fait, la sortie finale Out présente un état bas tant que les sorties Q0 et Q2 ne sont pas en même temps à l'état haut. La sortie Q0 correspond au nombre 1, et la sortie Q2 correspond au nombre 4. Si on additionne les deux nombres, nous obtenons le nombre décimal 5. Tel quel, le circuit est donc configuré en mode diviseur par 5; à une fréquence d'entrée de 5 KHz correspond une fréquence de sortie de 1 KHz.

diviseur_freq_002a
Division par 5 (4 + 1)
diviseur_freq_002b
Division par 8 (8)
diviseur_freq_002c
Division par 38 (2 + 4 + 32)
diviseur_freq_002d
Division par 141 (1 + 4 + 8 + 128)

Un circuit du même type mais étendu à 16 bits (au lieu de 8) et permettant de diviser jusqu'à 65535, est présenté à la page Diviseur fréquence 004.

Pas moyen de faire plus simple ?
Si vous limitez le taux de division à une valeur inférieure ou égale à 10, vous pouvez simplifier considérablement le schéma, puisque qu'un simple compteur de type CD4017 convient dès lors, comme le montre le schéma suivant.

diviseur_freq_cd4017

Ici, le taux de division dépend de la sortie utilisée, comme indiqué sur le schéma. Dans tous les cas, sauf pour la division par 10, la sortie qui suit directement la sortie utilisée doit être reliée à l'entrée de remise à zéro MR (patte 15) du CD4017. Si par exemple vous souhaitez obtenir une division par 6, vous devez utiliser la sortie Q5 et relier la sortie Q6 sur la borne MR. Pour une division par 10, utiliser la sortie Q9 et relier l'entrée MR à la masse. Vous souhaitez maintenant une division par 50 ? Alors pourquoi ne pas utiliser deux circuits CD4017 montés en série, l'un divisant par 5 et l'autre divisant par 10 ? Une division par 1000 ? Trois circuits CD4017 montés en série, qui divisent tous par 10, et le tour est joué (exemple en page Base de temps 002).

Diviseur variable avec un compteur ou décompteur prépositionnable

L'utilisation d'un compteur ou d'un décompteur prépositionnable permet d'assurer un taux de division qui peut être spécifié par une simple roue codeuse. L'exemple suivant montre comment il est possible de spécifier un taux de division compris entre 1 et 9 avec une seule roue codeuse. Le nombre affiché sur la roue codeuse correspond exactement au facteur de division appliqué au signal d'entrée.

diviseur_freq_003

Remarques :
- Si la roue codeuse est en position 0, le taux de division est à son maximum, c'est à dire de 10.
- Le CD4522 ne peut pas servir pour diviser au delà de 10, mais vous pouvez en cascader plusieurs pour obtenir des taux de division plus élevés. Exemples en pages Générateur HF 002 et Diviseur fréquence 003 (programmation du taux de division entre 1 et 99999).

Diviseurs spécifiques
Certaines applications nécessitent l'emploi d'un composant dédié pour une division dont le taux est programmable et modifiable facilement, dans une large plage de variation, avec des pas (écarts) réguliers, par exemple 10 KHz ou 100 KHz. C'est le cas par exemple des diviseurs que l'on associe aux boucles à verrouillage de phase (PLL), dans un récepteur ou dans un émetteur (CB, émetteur FM, récepteur satellite, etc). Il existe beaucoup de diviseurs programmables sur le marché, du "simple" avec configuration via broches (pattes) individuelle, au "compliqué" miniature CMS avec programmation sérielle du taux de division et nécessitant un microcontrôleur. Parmi les "simples", on peut citer le CD4059, sommairement décrit à la page CD4059 - Mise en oeuvre, ou encore les CD45151 et CD45152, dont l'approche peut cependant paraître un poil plus nébulleuse pour le débutant.

Diviseur variable associé à une boucle à verrouillage de phase

Une boucle à verrouillage de phase (PLL, Phase Lock Loop en anglais), associée à un diviseur de fréquence, peut permettre de "multiplier" un signal d'horloge par un nombre choisi. L'exemple suivant montre une façon d'obtenir un signal périodique de fréquence comprise entre 300 KHz et 450 KHz environ, à partir d'un signal de référence de 100 Hz. On ne procède pas vraiment à une multiplication, mais au final, le résultat est le même.

gene_hf_002

Ce type de montage doit être comparé aux autres systèmes afin de déterminer s'il est plus interressant pour une application donnée, côté plage de fréquence d'entrée, relation de phase entre signal d'entrée et signal de sortie, et facilité de programmation du facteur de division. Pour plus de détails concernant l'exemple donné ici, voir page Générateur HF 002. Vous pouvez aussi jeter un oeil à la page multiplicateur de fréquence 002 dans laquelle - en toute logique n'est-ce pas - vous trouverez un exemple de diviseur de fréquence apte à travailler avec un taux de division non entier (valeur décimale).

Diviseur fixe avec deux transistors

La division par transistor était très utilisée il y a quelques dizaines d'années, par exemple dans les orgues électroniques et synthétiseurs, pour produire plusieurs gammes (du Do au Si) à partir d'une seule gamme, avec plusieurs divisions successives : on produisait les fréquences de base pour les douze notes les plus aigues, puis chacune de ces fréquences de base était ensuite divisée par deux pour produire la note de l'octave inférieure. Par exemple, le La3 (fréquence 440 Hz), est une octave au-dessous du La4 (880 Hz) et une octave au-dessus du La2 (220 Hz).

Diviseur freq 001

Cette méthode a été progressivement abandonnée suite à l'arrivée sur le marché, de circuits intégrés spécialisés effectuant cette tache en tenant beaucoup moins de place sur le circuit imprimé (voir page Notes de musiques).
Notez que ce montage est plutôt adapté à des signaux logiques. Pour l'utiliser avec un signal analogique (son de guitare par exemple), il faut d'une part que l'amplitude du signal soit suffisante et d'autre part accepter que le signal de sortie est fortement distordu (forcement on sort toujours en carré quelque soit la forme du signal d'entrée). Dans certains cas ça peut faire l'affaire en temps qu'effet sonore.

Diviseur variable avec un potentiomètre

De tous les schémas présentés sur cette page, il s'agit de celui que je trouve le plus rigolo. Il est en effet peu fréquent de recourir à un potentiomètre pour modifier le facteur de division d'un diviseur, et celui qui a pondu ce schéma avait de la suite dans les idées (je n'aurais jamais eu cette idée là).

diviseur_freq_004

Il faut reconnaitre que l'idée est séduisante par le principe et par la simplicité. Mais il faut reconnaitre aussi que la précision et le taux de la division peut varier un peu en fonction de la qualité des composants utilisés, et notement du condensateur C1 et du potentiomètre RV1. Ce type de montage n'est pas conseillé quand une excellente stabilité du facteur de division est demandée. Un autre schéma utilisant un classique NE555 et basé sur un principe similaire est porposé en page Diviseur fréquence 002.

Diviseur variable de 1 à 1024 avec un PIC

J'ai développé un petit diviseur logique programmable basé sur un PIC 16F84 qui permet d'appliquer à un signal d'horloge périodique, un facteur de division compris entre 1 et 1024, facteur déterminé par l'état de dix entrées logiques du PIC. Ca ne monte pas très haut en fréquence mais ça fonctionne.

diviseur_frequence_001

Pour plus de détails, voir page Diviseur fréquence 001.

Division par une valeur décimale (non entière)

Les choses se corsent un peu mais le principe reste assez simple. Imaginez que l'on dispose d'une fréquence de départ Fin de 44 Hz et que l'on souhaite la diviser par une valeur telle que la fréquence d'arrivée Fout est de 8,4 Hz. Cela implique un facteur de division de [44 / 8,4] soit 5,238. Pas facile avec de simples compteurs décimaux ou binaires qui ne jurent qu'avec des nombres entiers...

Multiplication et division
Mais si on envisage une multiplication M par 84 suivie d'une division D par 440, cela nous donne l'égalité suivante :
Fout = Fin * M / D
Fout = 44 * 84 / 440 = 8,4

multiplicateur_frequence_001c_syno

Détails pour exemple pratique : voir page Multiplicateur de fréquence 002c 

Rattrapage d'erreur
Une autre méthode consiste à utiliser deux compteurs câblés en diviseur de fréquence, chacun incrémenté en parallèle par la même source d'horloge et chacun ayant son propre taux de division. Le premier compteur accomplit une division par un facteur entier (par exemple 20) qui est inférieur au facteur de division décimal désiré (par exemple 20,4) ce qui fait qu'il compte plus vite que ce qui est attendu. Le second compteur pour sa part accomplit une division par un facteur entier plus élevé et judicieusement choisi (par exemple 80) et qui permet de compenser l'erreur à intervales réguliers. Pour faire simple, le second compteur masque de temps en temps une ou deux des périodes produites par le premier compteur en lui "marchant" dessus. Le résultat est un signal périodique qui n'est pas tout le temps régulier au niveau des périodes : on a des périodes régulières pendant quelques coups d'horloge, puis à certains moment les périodes sont supprimées ou rallongées. Mais au final le nombre moyen de périodes par seconde correspond bien au résultat désiré.

Remarque : si la fréquence de sortie (après division) est basse et qu'on cherche à la mesurer, l'affichage risque de fluctuer pas mal - cela dépend de la durée des périodes prises en compte dans la mesure (ce qui bien sûr va de pair avec la fréquence) et de la constante de temps d'intégration du circuit de mesure. Pour mesurer une fréquence basse, il convient d'adopter une constante de temps d'intégration longue (éventuellement un filtrage actif qui est plus efficace qu'un simple réseau RC) ce qui implique alors un temps plus long pour la stabilisation de l'affichage.

Passage par le monde analogique ?

Fred me pose la question suivante : "Ne serait-il pas possible de réaliser un diviseur de fréquence numérique en passant par une conversion fréquence / tension suivie d'une conversion tension / fréquence, les deux convertisseurs ayant des pentes de conversion différentes ?". J'avoue que l'idée ne m'avait pas du tout effleurée, et me suis donc dit que ça pouvait valloir le coup de réfléchir à la question. Il faut dire que le besoin de Fred est de diviser un signal par une valeur décimale et que l'approche prise avec mon diviseur de fréquence 002c (page multiplicateur de fréquence 002) convient pour un signal de fréquence fixe mais ne convient pas très bien pour un signal dont la fréquence varie sans arrêt. J'ai donc essayé (par simulation uniquement) le circuit suivant qui met en oeuvre deux convertisseurs de type LM331.

diviseur_frequence_005

Détails et commentaires sur ce schéma en page Diviseur fréquence 005.

Remarques diverses

Un diviseur de fréquence fournit un signal dont la fréquence de sortie est en rapport avec la fréquence du signal d'entrée avant division, mais la forme et le rapport cyclique du signal d'entrée ne sont que rarement conservés. Bien souvent en effet, et surtout quand le diviseur est basé sur des bascules, le rapport cyclique du signal de sortie (le signal divisé) est de 50%, quelque soit le rapport cyclique du signal d'entrée à diviser. Il existe bien sûr des astuces pour conserver la même forme de signal, mais au prix d'une certaine complication du montage.